3-to-8 line Decoder VHDL Code (with-select-when)
A binary decoder is a combinational logic circuit that converts binary information from the n coded inputs to a maximum of 2^n unique outputs. VHDL Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decoder38 is Port ( A : in STD_LOGIC_VECTOR(2 downto 0); G1,G2b,G3b : in STD_LOGIC; Y : out STD_LOGIC_VECTOR (7 downto 0)); end decoder38; architecture Behavioral of decoder38 is signal en : std_logic_vector (5 downto 0) ; begin en <= (G1 & G2b & G3b) & A ; with en select y <= "00000001" when "100000", "00000010" when "100001", "00000100" when "100010", "00001000" when "100011", "00010000" when "100100", "00100000" when "100101", "01000000" when "100110", "10000000" when "100111", "00000000" when others; en...